O HAI THIS BLOG PURPZIEZ 2 B UZED AZ MAH PLESIOUS MEM. :)

2009/07/19

doFlame(&std_logic_arith);

取り敢えず,KMSがmodeset=0で動く様になったと思ったら#vhdlにnumeric_stdな猿が居たのでflameしてみた. :P
07/19 02:35:22 jv
MatthiasM: Why is logic_arith so pervasive?
07/19 02:35:41 MatthiasM
?
07/19 02:46:31 jv
MatthiasM: why people keep using std_logic_artih
07/19 02:46:56 MatthiasM
maybe because neither Xilinx or Altera updated their language
templates

07/19 03:27:50 hiyuh
jv: MatthiasM: promote me numeric_std more. then I'll switch from
sticking std_logic_arith.
07/19 03:28:21 MatthiasM
hiyuh: simple - no help for everyone who uses std_logic_arith :P
07/19 03:28:40 hiyuh
ha
07/19 03:30:21 NULL[0]
we need to open a new channel for that: #vhdl-93
07/19 03:30:55 MatthiasM
or #vhdl-only-for-std_numeric-users
07/19 03:31:12 hiyuh
there is no system doesn't support std_logic_arith and
numeric_std. monkey is just saying "it's t3h standard".
07/19 03:32:05 NULL[0]
a 15 years old tool supporting only vhdl-87 will not support
numeric_std ;p
07/19 03:32:35 hiyuh
no, that kind of craps are not system anymore. :p
07/19 03:36:29 hiyuh
anyway, vhdl-93/2002 doesn't bother to use std_logic_arith, iirc.
07/19 03:36:58 NULL[0]
because it is not necessary anymore
07/19 03:39:00 hiyuh
so plz, promote me in technical view. numeric_std is different from
std_logic_arith. why I should migrate?
07/19 03:39:15 hiyuh
s/I should/should I/
07/19 03:39:50 NULL[0]
one is a standard the other one a de facto standard that will
hopefully be deprecated soon ;)
07/19 03:40:27 NULL[0]
unfortunately it will never happen ;/
07/19 03:41:05 hiyuh
yup, de facto standard won't be deprecated. b/c monky is just saying
"it's t3h standard".
07/19 03:41:20 hiyuh
so plz promote me more.
07/19 03:42:07 NULL[0]
I don't really care :p, I have seen only 1 stupid case combining
std_logic_signed and std_logic_unsigned which broke the code
07/19 03:43:05 NULL[0]
something impossible with numeric_std ;)
07/19 03:43:12 NULL[0]
alone
07/19 03:44:43 hiyuh
std_logic_arith is not std_logic_{signed,unsigned}.
07/19 03:44:45 hiyuh
mixing them does overload some functions in current vhdl standard,
it just makes noobs confuse.
07/19 03:45:17 NULL[0]
std_logic_(un)signed is part of the synopsys libraries like
std_logic_arith
07/19 03:45:50 hiyuh
nope, they are separated, iirc.
07/19 03:46:08 NULL[0]
library IEEE;
07/19 03:46:08 NULL[0]
use IEEE.std_logic_1164.all;
07/19 03:46:08 NULL[0]
use IEEE.std_logic_arith.all;
07/19 03:46:24 NULL[0]
that's the beginning of std_logic_signed
07/19 03:46:37 NULL[0]
-- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. --
07/19 03:49:10 hiyuh
http://pastebin.com/m545ddbec
07/19 03:49:54 NULL[0]
and ?
07/19 03:51:13 hiyuh
synopsys/std_logic_arith.vhdl has only "use ieee.std_logic_1164.all;"
07/19 03:51:23 hiyuh
so, they are separated.
07/19 03:51:40 NULL[0]
std_logic_(un)signed is a wrapper applied on std_logic_arith
07/19 03:52:43 hiyuh
heh
07/19 03:53:00 hiyuh
but i don't use std_logic_unsigned/std_logic_signed to avoid overload
problem.
07/19 03:54:34 NULL[0]
std_logic_arith and numeric_std have more or less the same
functionalities, so there is no reason to use the library which is
not standard in newer code
07/19 03:55:24 hiyuh
again, plz promote me more.
07/19 03:55:37 hiyuh
in technical view.
07/19 03:56:05 hiyuh
std_logic_arith and numeric_std have more or less the same
functionalities, so there is no reason to migrate the library which
is not de facto standard.
07/19 03:57:09 hiyuh
NULL[0]: so what you said is "in technical"?
07/19 03:57:22 hiyuh
i don't think so.
07/19 03:57:28 MatthiasM
hiyuh: numeric_std has resize
07/19 03:57:51 MatthiasM
and shift_left/right with warnings when the numerical value changed
because of overflow
07/19 03:58:01 hiyuh
heh
07/19 03:58:23 MatthiasM
eg resize(to_unsigned(10, 4), 3) gives a warning in simulation
07/19 03:58:45 MatthiasM
but resize(to_unsigned(10, 5), 4) is ok

07/19 04:02:52 hiyuh
yo, |fred| :)
07/19 04:03:14 |fred|
perfect timing to disappear ;o)
07/19 04:03:22 hiyuh
lol
07/19 04:33:26 |fred|
hiyuh: I have actually right now to follow a guideline forbidding
numeric_std :)
07/19 04:34:00 hiyuh
lol
07/19 04:34:59 hiyuh
k, i'll switch to numeric_std at next proj.
07/19 04:35:01 hiyuh
:D

他人に新しいモノをすすめる時には,trivialでtechnicalな利点を根拠に持たないとダメだと思う.
"it's t3h standard!!1"は"but ITJUSTWORKS(tm)"で一蹴される阿呆に共通する特徴.
んな事を言ったって,我らが仮想敵なボスの無意味に保守的な態度に対向出来る訳無ぇだろよ,カスが.
でも,実を言うとstd_logic_arithに気に入らない所もあるので,今度から numeric_stdを使ってみっかな.
std_logic_arithにしがみついているのは,#vhdlでは少数派の様だし. :P

0 件のコメント: